偶校验编码设计实验报告

2023-08-05 22:34:12   文档大全网     [ 字体: ] [ 阅读: ]

#文档大全网# 导语】以下是®文档大全网的小编为您整理的《偶校验编码设计实验报告》,欢迎阅读!
校验,编码,实验,报告,设计
偶校验编码设计实验报告

4位奇偶校验设计

主题:基于可编程逻辑器件的4位奇偶校验设计 健忘的心情: 学生姓名: 所属系: 专业领域: 类: 学号: 完成日期:

本科生课程设计担当书 类:名称:

设计主题:基于可编程逻辑器件的4位奇偶校验设计 内容:1设计奇偶逻辑电路。如果4位中有奇数,则输出结果为1;否则为0

2 .写出该电路的真值表。

3 .用逻辑门电路或可编程逻辑阵列PLA实现。 4 .绘制详细电路图。 5 .写详细的原理说明。


指导老师: 教室长: .概要

奇偶校验是一种名誉编码校验,在存储器中以存储单元为单位进行,由于依赖硬件实现,所以及时性高,但用该校验方法只能发现奇数个错误,在数据中发生偶数个错误的情况下,由于不影响码的奇偶校验性质,所以找不到奇偶校验是一种验证代码传输正确性的方法。 根据传输的二进制码集中“1”的个数是奇数还是偶数来检查。 采用奇数的叫奇检查,反之叫偶检查。 采用什么样的检查是事先决定好的。 通常,只设置一个奇偶校验位,该组中的“1”的数目是奇数或偶数。 如果使用奇数校验,当接收方收到该组代码时,它会检查“1”的数量是否为奇数,以确认传输代码的正确性。

.撰写详细原理说明

奇偶校验法是一种检查数据传输正确性的方法。 表示要传输的数据“1”的个数是奇数还是偶数,如果是奇数,则检查位置为“”,否则为“”。 例如,因为必须转移“1101”并且数据包含“1”,所以奇偶校验位必须是“”并且必须转移“1111”,其中数据包含“1''0”。

.使用逻辑门电路或可编程逻辑阵列PLA实现 .绘制详细电路

4位中有奇数个1时的电路(输出结果为1,点亮) : 4位中有偶数个1时的电路(输出结果0,熄灭) : .总结和体会


本文来源:https://www.wddqxz.cn/b834ce4a00d8ce2f0066f5335a8102d277a26154.html

相关推荐