基于FPGA的篮球计时计分器的设计与实现

2023-04-06 01:14:14   文档大全网     [ 字体: ] [ 阅读: ]

#文档大全网# 导语】以下是®文档大全网的小编为您整理的《基于FPGA的篮球计时计分器的设计与实现》,欢迎阅读!
计分器,计时,基于,篮球,实现
基于FPGA的篮球计时计分器的设计与实现

唐庭龙;陆酉

【期刊名称】《三峡大学学报(自然科学版)》 【年(),期】2010(32)6

【摘 要】提出了基于FPGA(Field Programmable Gate Array)的篮球计时计分器设计方案,并使用Quartus II软件VHDL语言(Very High Speed Integrated Circuit Hardware Description Language)实现了相关设计.采用计数的方法设计了计时器模块、计分器模块,结合7段数码管动态显示原理及1602液晶控制方法设计了显示模块.经过仿真及下载验证得到以下结果:计时器完成了篮球比赛412min的倒计时,一次进攻时间24 s的计时;计分器实现了甲乙两队加分,分数调整的功能;显示部分完成了时间、比分的显示等.以上结果符合设计要求. 【总页数】4(P80-83) 【作 者】唐庭龙;陆酉

【作者单位】三峡大学,计算机信息学院,湖北,宜昌,443002;武汉供电公司,,430064 【正文语种】 【中图分类】TP391 【相关文献】

1.基于STC89C51单片机的篮球计时计分器设计 [J], 李萍

2.基于Android手机APP控制的篮球赛计时计分器设计 [J], 陈红;韦金言;孟鹏飞;


尹友鑫

3.基于单片机的篮球计时计分器设计与实现 [J], 张南宾;刘小平

4.基于FPGA的篮球30s可控计时器 [J], 王哲;王凡硕;杨煜欣;黎浣珊;刘天野;朱志

5.基于STC89C52的篮球比赛计时计分器设计与实现 [J], 李萌

因版权原因,仅展示原文概要,查看原文内容请购买


本文来源:https://www.wddqxz.cn/91dc0e231db91a37f111f18583d049649b660ebc.html

相关推荐